Welcome![Sign In][Sign Up]
Location:
Search - verilog uart

Search list

[VHDL-FPGA-VerilogFPGA_UART

Description: 用Verilog语言实现的FPGA UART独立收发模块 思路简单,代码简洁。在Lattice LFE3EA VERSA开发板上验证通过,编译器Lattice Diamond. 功能:串口收到数据后立即回传,此后每一秒串口数据+1再发送。-Using Verilog language independent of FPGA UART transceiver idea is simple, concise code. Development board in Lattice LFE3EA VERSA verified by the compiler Lattice Diamond. Features: Serial data is received immediately after the return, then every second serial port and then send the data+ 1.
Platform: | Size: 3072 | Author: 朱强光 | Hits:

[VHDL-FPGA-Veriloguart

Description: 用verilog编写的uart代码,比较适合初学者练练手,包含初始化,收发等模块-Written code with verilog uart, more suitable for beginners practice your hand, including initialization, sending and receiving modules
Platform: | Size: 201728 | Author: renyanpeng | Hits:

[VHDL-FPGA-VerilogVerilog-uart

Description: Verilog状态机实现的串口串口收发模块 -Verilog state machine for uart
Platform: | Size: 1205248 | Author: fu | Hits:

[VHDL-FPGA-Verilogverilog-UART-Controler

Description: 使用verilog语言实现的UART控制器,包含发送和接收部分,波特率可调。-Using the UART controller verilog language, including sending and receiving part, the baud rate is adjustable.
Platform: | Size: 114688 | Author: 张秋光 | Hits:

[VHDL-FPGA-Verilogmini-UART

Description: URAT资料,用verilog HDL编写,具有完整的信号描述和功能-URAT data write complete signal description and function, with verilog HDL
Platform: | Size: 51200 | Author: 牛玉祥 | Hits:

[VHDL-FPGA-VerilogUART

Description: verilog写的串口程序,其功能完全最正确,带工程文件-verilog to write the serial program, its function is completely the right, with the project file
Platform: | Size: 433152 | Author: 潘政 | Hits:

[VHDL-FPGA-VerilogUART

Description: verilog实现UART,分模块实现,希望对大家有所帮助-verilog-- UART
Platform: | Size: 4096 | Author: 马少文 | Hits:

[VHDL-FPGA-Veriloguart

Description: verilog uart串口通讯程序设计 带个模块详细设计 及说明文档-Verilog the uart serial communication program design with the detailed design and documentation of a module
Platform: | Size: 4130816 | Author: 邓烨 | Hits:

[Software EngineeringFPGA--uart(verilog)

Description: verilog uart 源码,编译器ISE9.1i版本,很有用的源码-verilog uart code
Platform: | Size: 100352 | Author: xiabo | Hits:

[Otheruart

Description: FPGA上的verilog 的uart实现方法-FPGA on the verilog uart implementation
Platform: | Size: 2048 | Author: 陆建强 | Hits:

[Software EngineeringUART

Description: 基于ISE 用verilog编写的uart串口通信源码-Based on the ISE written in verilog uart serial communication source code
Platform: | Size: 1024 | Author: 祁伟 | Hits:

[Otheruart

Description: Verilog UART is written in this file
Platform: | Size: 4096 | Author: teja | Hits:

[VHDL-FPGA-VerilogUART-by-Verilog

Description: 用Verilog实现UART,并且附有详细说明那个-The Verilog UART, and with the detailed description that
Platform: | Size: 144384 | Author: 史欧文 | Hits:

[VHDL-FPGA-Verilogverilog-uart-rs232

Description: verilog HDL 描写的uart程序 由PC端接收然后+1返回 等等 东南大学09级4系综合课程设计-verilog HDL description uart program Received by the PC side and then+1 back。 SEU..
Platform: | Size: 588800 | Author: yu | Hits:

[Software Engineeringverilog-uart

Description: UART(Universal Asynchronous Receiver Transmitter,通用异步收发器)是广泛使用的异步串行数据通信协议。下面首先介绍UART硬件接口及电平转换电路,分析UART的传输时序并利用Verilog HDL语言进行建模与仿真,最后通过开发板与PC相连进行RS-232通信来测试UART收发器的正确性。-UART (Universal Asynchronous Receiver Transmitter, Universal Asynchronous Receiver Transmitter) is a widely used asynchronous serial data communication protocol. Below first introduced UART hardware interface and level conversion circuit, the UART transmit timing analysis and use of Verilog HDL language modeling and simulation, and finally the development board connected to the PC via RS-232 communications conducted to test the correctness of UART transceiver.
Platform: | Size: 117760 | Author: 李科 | Hits:

[Com PortUART

Description: 用verilog编写的UART串口通信程序,经验证误码率为0,系统由ARM控制FPGA的串口进行通信;-Written in verilog UART serial communication procedures, proven error rate is 0, the system controlled by ARM FPGA serial communication
Platform: | Size: 3072 | Author: lejing | Hits:

[VHDL-FPGA-VerilogUART

Description: FPGA Verilog UART 通信源代码-FPGA Verilog THIS IS A UART SQC
Platform: | Size: 1024 | Author: liweic | Hits:

[VHDL-FPGA-Verilogverilog--uart--fpga

Description: 基于verilog的串口通信实验指导和源程序-Verilog based serial communication experiment guide and source code
Platform: | Size: 3122176 | Author: 宋江 | Hits:

[VHDL-FPGA-VerilogUart

Description: FPGA verilog UART串口通信,可通过RS232串口与串口助手通信。-FPGA verilog UART communication, it could connect with UART assistor with RS232 port.
Platform: | Size: 8192 | Author: john | Hits:

[VHDL-FPGA-VerilogUART(Verilog)

Description: Verilog 串口程序,可完成完整的数据接收与发送。代码注释清晰,程序易读。-Verilog UART
Platform: | Size: 1784832 | Author: ouhongshi | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 32 »

CodeBus www.codebus.net